Logic and Computer Design Fundamentals 5th edition by Mano Kime Martin Solution Manual PDF

Title Logic and Computer Design Fundamentals 5th edition by Mano Kime Martin Solution Manual
Author Anonymous User
Course Digital Logic Design
Institution United International University
Pages 15
File Size 1014.8 KB
File Type PDF
Total Downloads 91
Total Views 138

Summary

Download Logic and Computer Design Fundamentals 5th edition by Mano Kime Martin Solution Manual PDF


Description

Problem Solutions – Chapter 2

CHAPTER 2 © 2016 Pearson Education, Inc.

2-1.* a)

XYZ  X  Y  Z Verification of DeMorgan’s Theorem

b)

X

Y

Z

XYZ

XYZ

X Y  Z

0

0

0

0

1

1

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

0

1

1

1

0

0

0

1

1

1

0

1

0

1

1

1

1

0

0

1

1

1

1

1

1

0

0

X  YZ  ( X  Y )  ( X  Z )

The Second Distributive Law X

Y

Z

YZ

X + YZ

X+Y

X+Z

(X + Y)(X + Z)

0

0

0

0

0

0

0

0

0

0

1

0

0

0

1

0

0

1

0

0

0

1

0

0

0

1

1

1

1

1

1

1

1

0

0

0

1

1

1

1

1

0

1

0

1

1

1

1

1

1

0

0

1

1

1

1

1

1

1

1

1

1

1

1

c)

XY  YZ  XZ  XY  YZ  XZ

X

Y

Z

XY

YZ

XZ

XY  YZ  XZ

XY

YZ

XZ

XY  YZ  XZ

0

0

0

0

0

0

0

0

0

0

0

0

0

1

0

1

0

1

0

0

1

1

0

1

0

1

0

0

1

0

1

0

1

0

1

1

1

0

0

1

0

0

1

1

1

0

0

0

0

1

1

1

0

0

1

1

0

1

0

1

0

1

1

0

0

1

1

1

0

0

0

1

1

0

1

0

1

1

1

1

0

0

0

0

0

0

0

0

2-2.* a)

=

X Y  XY  XY

X Y

 ( X Y  X Y )  ( XY  XY)  X (Y  Y )  Y (X  X )  X Y

1 © 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. This material is protected under all copyright laws as they currentl exist. No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher.

Problem Solutions – Chapter 2 b)

=

AB  BC  AB  BC

1

 ( AB  AB)  ( BC  BC)  B ( A  A)  B ( C  C ) B B  1

c)

Y  XZ  XY

=

X Y  Z

=

X Y  XZ  YZ

=

B  CD

 Y  XY  XZ  (Y  X )(Y  Y )  XZ  Y  X  XZ  Y  ( X  X )( X  Z )  X Y  Z d)

XY  Y Z  XZ  XY  YZ  XY  YZ (X  X )  XZ  XY  YZ  XY  XYZ  XYZ  XZ  XY  YZ  XY (1 Z )  XYZ  XZ  XY  YZ  XY  XZ (1 Y )  XY  YZ  XY  XZ  XY (Z  Z )  YZ  XY  XZ  XYZ  YZ (1  X )  XY  XZ (1 Y )  YZ  XY  XZ  YZ

2-3.+ a)

ABC  BCD  BC  CD

 ABC  ABC  BC  BCD  BCD  CD  AB( C  C)  BC( D  D)  BC  CD  AB  BC  BC  CD  B  AB  CD  B  CD

b)

WY  WYZ  WXZ  WXY

=

WY  WXZ  XYZ  XYZ

 (WY  WXYZ )  (WXYZ  WXYZ )  (WXYZ  WXYZ )  (WXYZ  WXY Z )  (WY  WXYZ )  (WXYZ  WXY Z )  (WXYZ  WXYZ )  (WXYZ  WXYZ )  WY  WXZ (Y  Y )  XYZ (W  W )  XYZ (W  W )  WY  WXZ  XYZ  XYZ c)

AD  AB  CD  BC

=

( A  B  C  D)( A  B  C  D)

 AD  AB  CD  BC  ( A  D)( A  B)( C  D)( B  C)  ( AB  AD  BD)( BC  BD  CD)  ABCD  ABCD  ( A  B  C  D)( A  B  C  D)  ( A  B  C  D)( A  B  C  D)

2 © 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. This material is protected under all copyright laws as they currentl exist. No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher.

Problem Solutions – Chapter 2

2-4.+ Given:

A  B  0, A  B  1

Prove:

( A  C)( A  B )( B  C)

=

BC

 ( AB  AC  BC)( B  C)  AB  AC  BC  0  C ( A  B)  C ( A  B )(0)  C ( A  B )(A  B )  C ( AB  AB  B  BC

2-5.+ Step 1:

Define all elements of the algebra as four bit vectors such as A, B and C:

A

Step 2:

=

(A3, A2, A1, A0)

B

=

(B3, B2, B1, B0)

C

=

(C3, C2, C1, C0)

Define OR1, AND1 and NOT1 so that they conform to the definitions of AND, OR and NOT presented in Table 2-1.

a)

A + B = C is defined such that for all i, i = 0, ... ,3, Ci equals the OR1 of Ai and Bi.

b)

A B = C is defined such that for all i, i = 0, ... ,3, Ci equals the AND1 of Ai and Bi.

c)

The element 0 is defined such that for A = “0”, for all i, i = 0, ... ,3, Ai equals logical 0.

d)

The element 1 is defined such that for A = “1”, for all i, i = 0, ... ,3, Ai equals logical 1.

e)

For any element A, A is defined such that for all i, i = 0, ... ,3, Ai equals the NOT1 of Ai.

2-6. a)

AC  ABC  BC  AC  ABC  ( ABC  BC )

 AC  ( ABC  ABC  BC  ( AC  AC)  BC  A  BC b)

( A  B  C )( ABC )  AABC  ABBC  ABCC  ( AA) BC  A( BB) C  AB( CC)  ABC  ABC  ABC  ABC

c) d)

ABC  AC  A( BC  C )  A( B  C ) ABD  ACD  BD  ( AB  B  AC )D  ( A  AC  B) D

 ( A  B )D e)

( A  B)( A  C)( ABC)  AAABC  ACABC  BAABC  BCABC  ABC

3 © 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. This material is protected under all copyright laws as they currentl exist. No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher.

Problem Solutions – Chapter 2

2-7.* XY  XYZ  XY  X  XYZ  ( X  XY )( X  Z )  ( X  X )( X Y )( X  Z )

a)

 ( X  Y )( X  Z )  X  YZ b)

X  Y (Z  X  Z )  X  Y (Z  XZ )  X  Y (Z  X )(Z  Z )  X YZ  XY  ( X  X )( X  Y )  YZ  X Y  YZ  X  Y

c)

WX ( Z  YZ )  X (W  WYZ )  WXZ WXYZ WX WXYZ  WXZ  WXZ  WX  WX WX  X

d)

( AB  AB)(CD  CD)  AC  ABCD  ABCD  ABCD  ABCD  A  C

 ABCD  A  C  A  C  A( BCD)  A  C  C (BD )  A  C  BD

2-8. F  ABC  AC  AB

a)

F  ABC  AC  AB

b)

 ( ABC)( AC )( AB)

 ( A  B  C )  ( A  C )  ( A  B)

c) Same as part b.

2-9.* a)

F  ( A  B)( A  B )

b)

F  ((V  W ) X  Y )Z

c)

F  [W  X  (Y  Z )(Y  Z )][W  X  YZ YZ]

d)

F  ABC  ( A  B)C  A( B  C)

2-10.* Truth Tables a, b, c X Y

Z

a

A

B

C

b

W X

Y

Z

c

0

0

0

0

0

0

0

1

0

0

0

0

0

0

0

1

0

0

0

1

1

0

0

0

1

0

0

1

0

0

0

1

0

0

0

0

1

0

1

0

1

1

1

0

1

1

1

0

0

1

1

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

1

1

1

0

1

0

0

1

0

1

0

1

1

0

1

1

1

0

0

0

1

1

0

1

1

1

1

1

1

1

1

1

0

1

1

1

0

1

0

0

0

0

1

0

0

1

0

1

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1

1

0

1

1

1

1

1

0

1

1

1

1

1

1

4 © 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. This material is protected under all copyright laws as they currentl exist. No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher.

Problem Solutions – Chapter 2

a)

Sum of Minterms:

XYZ  XYZ  XYZ  XYZ

Product of Maxterms: ( X  Y  Z )( X  Y  Z )( X  Y  Z )( X Y  Z ) b)

Sum of Minterms:

ABC  ABC  ABC  ABC

Product of Maxterms: ( A  B  C )( A  B  C )( A  B  C )( A  B  C) c)

Sum of Minterms:

WXYZ  WXYZ  WXYZ  WXY Z  WXYZ  WXYZ  WXYZ

Product of Maxterms: (W  X  Y  Z )(W  X  Y  Z )(W  X Y  Z )

(W  X  Y  Z )(W  X  Y  Z )(W  X  Y  Z ) (W  X  Y  Z )(W  X  Y  Z )(W  X Y  Z )

2-11. a)

E  m(1, 2, 4, 6)  M (0, 3, 5, 7),

F  m(0, 2, 4, 7)  M (1, 3, 5, 6)

b)

E  m(0, 3, 5, 7),

F  m(1, 3, 5, 6)

c)

E  F  m(0, 1, 2, 4, 6, 7),

E  F  m(2, 4)

d)

E  XYZ  XYZ  XYZ  XYZ ,

F  XYZ  XYZ  XY Z  XYZ

e)

E  Z ( X  Y )  XYZ,

F  Z ( X  Y )  XYZ

a)

( AB  C )(B  CD)  AB  ABCD  BC  AB  BC s.o.p.

2-12.*  B( A  C ) p.o.s. b)

X  X ( X  Y )(Y  Z )  ( X  X )( X  ( X  Y )(Y  Z ))  ( X  X  Y )( X  Y  Z ) p.o.s.

 (1  Y )( X  Y  Z )  X  Y  Z s.o.p. c)

( A  BC CD)( B  EF )  ( A  B C)( A  B  D)( A C D)( B  EF )

 ( A  B  C )( A  B  D)( A  C  D)(B  E )( B  F ) p.o.s. ( A  BC CD)( B  EF )  A( B  EF)  BC( B  EF) CD( B  EF)

 AB  AEF  BCEF  BCD  CDEF s.o.p.

2-13. a)

A B

b)

c)

Y

C

Z

A

Z

B D C

Y

A X

B W

C

A C

B D A

Y

C

X

B

Z

5 © 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. This material is protected under all copyright laws as they currentl exist. No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher.

Problem Solutions – Chapter 2

2-14. a)

b)

Y 1 1

X 1

1

1 X 1 1

Z XY +YZ+XYZ

c)

Y

Z XY + XZ + YZ

1 A 1

1

1 A 1

1

B

d)

B 1 1 C C + AB

or

c)

B

1 1 1 1

1 C AB + AC + BC BC + AB + AC

2-15.* a)

b)

Y 1 1

X

B

1

1 1

A

1

1

1

1

1

Z XZ  XY

A

1 1

1 1 1 C B C

C A  CB

2-16. a)

b)

C 1

1

1 1 A

B

1 1

1

c)

C 1 1

1

1

1

1

1

1

B

1 1

BD  ABC  ACD

X

1

D

D

1 1

1 1

W

A

1

Y

1 Z

X Z  Y Z  WX Y  W XYZ

AC AD ABC

2-17. a)

b)

Y 1 1 1 W

C

1 1

1

X

1 1

1

A

1 Z

1

1

1 1 1 1 1

B

D

F  BC  ACD  ABD  ABC  ( ABD or ACD)

F  XZ  Y Z  W X Y  W XYZ

6 © 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. This material is protected under all copyright laws as they currentl exist. No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher.

Problem Solutions – Chapter 2

2-18.* a)

b) Y 1

1 1

X

1

1

W

c)

Y 1 1

1 1

1 1

X

1

A

B

1 D

Z

m(3, 5, 6, 7)

1

1

1

1

Z

C 1

1 1

m(3, 4, 5, 7, 9, 13, 14, 15)

m(0, 2, 6, 7, 8, 10, 13, 15)

2-19.* a) Prime  XZ, WX, XZ, WZ

b) Prime  CD, AC, BD, ABD, BC

Essential  XZ , XZ

c)

Essential  AC, BD, ABD

Prime  AB, AC, AD, BC, BD, CD Essential  AC , BC, BD

2-20. a) Prime  BD, ACD, ABC, ABC, ACD

b) Prime  WY, XY, WXZ, W X, XYZ, WYZ

Essential  ACD, ABC, ABC, ACD

Essential  WY , XY

Redundant  BD

Redundant  W X , XYZ, WYZ

F  ACD  ABC  ABC  ACD

F  WY  XY  WXZ

c) Prime  W Z, X Z, WYZ, XYZ, W XY, WXZ, WXY

Essential  W Z , X Z Redundant = W X Y,W XZ, WXY F  W Z  X Z  WYZ  XYZ

2-21. a)

F

b)

Y

W

0 0 0

C

0

0 0

F

0

X A

0 0

0 0 0 B 0 0

0 0

Z

0

0 D

F  m(3, 4,5,6,7,9,11,13)

F  m(0, 2, 6, 7, 8, 9, 10, 12, 14, 15)

F  W X  WYZ  XYZ

F  BD  BC  ABC  AD

F  (W  X )(W  Y  Z)( X  Y  Z)

F  ( B  D)( B  C)( A  B  C)( A  D)

2-22.* a) s.o.p. p.o.s.

CD  AC  BD (C  D)( A  D )( A  B  C )

b) s.o.p. p.o.s.

AC  BD  AD (C  D)( A  D )( A  B  C )

c) s.o.p. p.o.s.

BD  ABD  ( ABC or ACD)

( A  B )(B  D)( B  C  D)

7 © 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. This material is protected under all copyright laws as they currentl exist. No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher.

Problem Solutions – Chapter 2

2-23. a) s.o.p.

ABD  ABC  ABD  ABC

or

ACD  BCD  ACD  BC D

p.o.s.

b) s.o.p.

X  YZ  W Z

p.o.s. ( X  Y  Z )(W  X  Z )

( A  B  D)( A  B  C)( A  B  D)( A  B  C)

or ( A  C  D)( B  C  D)( A  C  D)( B  C  D)

2-24. a)

b) 1

X X A 1 X

1 X

X

1

X 1

1

1

A

C

c)

C

B

B

1

1 1 X X

1

1

X

W

X X

X

Y

X

D

1

Z

F  AD  ( ABD  BCD) or

F  A C

X

F  XY Z W X Y  WYZ  X YZ

(ACD  BCD ) or (ABD  ABC )

2-25.* b)

a) B X A

1 1 X

1 1

W

C

1 1

X

X

1 1

X X X A

X

1

C

c)

Y 1

Z

1 1

X 1 1 1 X

1

X X

B

Primes  AB, AC, BC, ABC

Primes  XZ, XZ, WXY, WXY, WY Z, WYZ

D Primes  AB, C, AD, BD

Essential  AB, AC, BC

Essential  XZ

Essential  C , AD

F  AB  AC  BC

F  XZ  WXY  WXY

F  C  AD( BD or AB)

2-26. a)(1)

W

X X

Y 0 X

0 1

0 1

1 0 X X 0 X 1 X Z

F  WY  Y Z 

a)(2)

b)(1)

Y X X 0 0 1

X W

...


Similar Free PDFs