Solution Manual of Digital Logic And Computer Design 2nd Edition Morris Mano PDF

Title Solution Manual of Digital Logic And Computer Design 2nd Edition Morris Mano
Author Fatima Bashir
Pages 40
File Size 364.4 KB
File Type PDF
Total Downloads 169
Total Views 435

Summary

Bismillah hir Rehman nir Raheem ------------------------------------Assalat o Wasalam o Allika Ya RasoolALLAH Solution Manual of Digital Logic & Computer Design (2 Ed.) th Morris Mano Ch  Ch Published By: Muhammad Hassan Riaz Yousufi To Read Online & Download: WWW.ISSUU.COM/SHEIKHUHASS...


Description

Bismillah hir Rehman nir Raheem ------------------------------------Assalat o Wasalam o Allika Ya RasoolALLAH

Solution Manual of Digital Logic & Computer Design (2 Ed.) th

Morris Mano

Ch

Ch

Published By: Muhammad Hassan Riaz Yousufi

To Read Online & Download:

WWW.ISSUU.COM/SHEIKHUHASSAN

Problem Solutions to Problems Marked With a * in Logic Computer Design Fundamentals, Ed. 2

CHAPTER 1 © 2000 by Prentice-Hall, Inc.

1-1. Decimal, Binary, Octal and Hexadecimal Numbers from (16)10 to (31)10 Dec Bin Oct Hex

16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 1 0000 1 0001 1 0010 1 0011 1 0100 1 0101 1 0110 1 0111 1 1000 1 1001 1 1010 1 1011 1 1100 1 1101 1 1110 1 1111 20 21 22 23 24 25 26 27 30 31 32 33 34 35 36 37 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F

1-4. ( 1101001 ) 2 = 2 6 + 2 5 + 2 3 + 2 0 = 105 ( 10001011.011 )2 = 2 7 + 2 3 + 2 1 + 2 0 + 2 –2 + 2 – 3 = 139.375 ( 10011010 ) 2 = 2 7 + 2 4 + 2 3 + 2 1 = 154

1-7. Decimal

Binary

Octal

369.3125

101110001.0101

561.24

Hexadecimal 171.5

189.625

10111101.101

275.5

BD.A

214.625

11010110.101

326.5

D6.A

62407.625

1111001111000111.101

171707.5

F3C7.A

1-9. 7562/8

=

945 + 2/8



2

945/8

=

118 +1/8



1

118/8

=

14 + 6/8



6

14/8

=

1 + 6/8



6

1/8

=

1/8



1

0.45 × 8

=

3.6



3

0.60 × 8

=

4.8



4

0.80 × 8

=

6.4



6

0.20x8

=

3.2



3

(7562.45)10

=

(16612.3463)8

b)

(1938.257)10

=

(792.41CA)16

c)

(175.175)10

=

(10101111.001011)2

a)

1

Problem Solutions – Chapter 1

1-11. a)

(673.6)8

=

(110 111 011.110)2

=

(1BB.C)16

b)

(E7C.B)16

=

(1110 0111 1100.1011)2

=

(7174.54)8

c)

(310.2)4

=

(11 01 00.10)2

=

(64.4)8

1-15. a)

(BEE)r = (2699)10 11 × r 2 + 14 × r 1 + 14 × r 0 = 2699 11 × r 2 + 14 × r – 2685 = 0

By the quadratic equation: r = 15 or r ≈ –16.27 ANSWER: r = 15 b)

(365)r = (194)10 3 × r 2 + 6 × r 1 + 5 × r 0 = 194

3 × r 2 + 6 × r – 189 = 0 By the quadratic equation: r = -9 or 7 ANSWER: r = 7

1-17. (694)10

=

(0110 1001 0100)BCD

(835)10

=

(1000 0011 0101)BCD

1 0110

1001

0100

+1000

+0011

+0101

1111

1100

1001

+0110

+0110

+0000

0001 0101

1 0010

1001

1-20. a) (0100 1000 0110 0111)BCD

=

(4867)10

=

(1001100000011)2

b) (0011 0111 1000.0111 0101)BCD

=

(378.75)10

=

(101111010.11)2

1-23. a)

(101101101)2

b)

(0011 0110 0101)BCD

c)

0011 0011

0011 0110

0011 0101ASCII

1-25. BCD Digits with Odd and Even Parity Odd Even

0 1 0000 0 0000

1 0 0001 1 0001

2 0 0010 1 0010

3 1 0011 0 0011

4 0 0100 1 0100

2

5 1 0101 0 0101

6 1 0110 0 0110

7 0 0111 1 0111

8 0 1000 1 1000

9 1 1001 0 1001

Problem Solutions to Problems Marked With a * in Logic Computer Design Fundamentals, Ed. 2

CHAPTER 2 © 2000 by Prentice-Hall, Inc.

2-1. a)

XYZ = X + Y + Z

Verification of DeMorgan’s Theorem X 0

Y 0

Z 0

XYZ 0

XYZ 1

X+Y+Z 1

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

0

1

1

1

0

0

0

1

1

1

0

1

0

1

1

1

1

0

0

1

1

1

1

1

1

0

0

X + YZ = ( X + Y ) ⋅ ( X + Z )

b)

The Second Distributive Law (X+Y)(X+Z)

X 0

Y 0

Z 0

YZ 0

X+YZ 0

X+Y 0

X+Z 0

0

0

1

0

0

0

1

0

0

1

0

0

0

1

0

0

0

1

1

1

1

1

1

1

1

0

0

0

1

1

1

1

1

0

1

0

1

1

1

1

1

1

0

0

1

1

1

1

1

1

1

1

1

1

1

1

c) X 0

0

XY + YZ + XZ = XY + YZ + XZ Y 0

Z 0

XY 0

YZ 0

XZ XY+YZ+XZ XY 0 0 0

YZ 0

XZ XY+YZ+XZ 0 0

0

0

1

0

1

0

1

0

0

1

1

0

1

0

1

0

0

1

0

1

0

1

0

1

1

1

0

0

1

0

0

1

1

1

0

0

0

0

1

1

1

0

0

1

1

0

1

0

1

0

1

1

0

0

1

1

1

0

0

0

1

1

0

1

0

1

1

1

1

0

0

0

0

0

0

0

0

2-2. a)

X Y + XY + XY

=

= (XY+ X Y ) + (X Y + XY) = X(Y + Y) + Y(X + X) + =X+Y

1

X+Y

Problem Solutions – Chapter 2 b)

A B+ B C + AB + B C = 1 = (A B+ AB) + (B C + B C) = B(A + A) + B(C + C) =B+B =1

c)

Y+XZ+XY

=X+Y+Z

=Y+XY+XZ = (Y + X)(Y + Y) + X Z =Y+X+XZ = Y + (X + X)(X + Z) =X+Y+Z d)

X Y + Y Z + XZ + XY + Y Z

= X Y + XZ + Y Z

= X Y + Y Z(X + X) + XZ + XY + Y Z = X Y + X Y Z + X Y Z + XZ + XY + Y Z = X Y (1 + Z) + X Y Z +XZ + XY + Y Z = X Y + XZ(1 + Y) + XY + Y Z = X Y + XZ + XY (Z + Z)+ Y Z = X Y + XZ + XY Z +Y Z (1 + X) = X Y + XZ(1 + Y) + Y Z = X Y + XZ + Y Z

2-7. a)

X Y + XYZ + XY = X + XYZ = (X + XY)(X + Z) = (X + X)(X + Y)(X + Z) = (X + Y)(X + Z) = X + YZ

b)

X + Y(Z + X Z) = X + YZ + X Y Z = X + (YZ + X)(YZ + YZ) = X + Y(X + YZ) = X + XY + YZ = (X + X)(X + Y) + YZ = X + Y + YZ = X + Y

c)

WX(Z + YZ) + X(W + W YZ) = WXZ + WXYZ + WX + WXYZ = WX + WXZ + WXZ = WX + WX = X

d)

( AB + AB ) ( CD + CD ) + AC = ABCD + ABCD + ABCD + ABCD + A + C = A + C + ABCD = A + C + A(BCD) = A + C + BCD = A + C + C(BD) = A + C + BD

2-9. a)

F = (A + B )( A + B )

b)

F = ( ( V + W )X + Y )Z

c)

F = [ W + X + ( Y + Z ) ( Y + Z ) ] [ W + X + YZ + YZ ]

d)

F = ABC + ( A + B )C + A ( B + C )

2

Problem Solutions – Chapter 2

2-10. Truth Tables a, b, c X 0

Y 0

Z 0

A 0

B 0

C 0

b

0

1

W 0

X 0

Y 0

Z 0

0

0

0

1

0

0

0

1

1

0

0

0

1

0

0

1

0

0

0

1

0

0

0

0

1

0

1

0

1

1

1

0

1

1

1

0

0

1

1

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

1

1

1

0

1

0

0

1

0

1

0

1

1

0

1

1

1

0

0

0

1

1

0

1

1

1

1

1

1

1

1

1

0

1

1

1

0

1

0

0

0

0

1

0

0

1

0

1

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1

1

0

1

1

1

1

1

0

1

1

1

1

1

1

a)

a

Sum of Minterms:

c

XYZ + XYZ + XYZ + XYZ

Product of Maxterms: (X + Y + Z)(X + Y + Z)(X + Y + Z)(X + Y + Z) b)

ABC+ABC+ABC+ABC

Sum of Minterms:

Product of Maxterms: (A + B + C)(A + B + C)(A + B + C)(A + B + C) c)

WXYZ+WXYZ+WXYZ+WXYZ+WXYZ+WXYZ

Sum of Minterms:

+WXYZ Product of Maxterms: (W + X + Y + Z)(W + X + Y + Z)(W + X + Y + Z) (W + X + Y + Z)(W + X + Y + Z)(W + X + Y + Z) (W + X + Y + Z)(W + X + Y + Z)(W + X + Y + Z)

2-12. a)

(AB + C)(B + CD) = AB + BC + ABCD = AB + BC s.o.p. = B(A + C) p.o.s.

b)

X + X ((X + Y)(Y + Z)) = (X + X)(X + (X + Y)(Y + Z))

c)

(A + BC + CD)(B + EF) = (A + B + C)(A + B + D)(A + C + D)(B + E)(B + F) p.o.s.

= (X + X + Y)(X + Y + Z) = X + Y + Z s.o.p. and p.o.s. (A + BC + CD)(B + EF) = A(B + EF) + BC(B + EF) + CD(B + EF) = AB + AEF + BCEF + BCD + CDEF s.o.p.

2-15. a) 1 X

b)

Y 1 1 Z X Z + XY

1

1 A

c)

B 1

1

1 C A + CB

3

1

B 1

1

1

A 1

1

1

C B+C

Problem Solutions – Chapter 2

2-18. a)

b)

1

1

1

1

W

1

1

1

1 1

X

1

A 1

Z Σm ( 3, 4, 5, 7, 9, 13, 14, 15 )

Σm ( 3, 5 , 6 , 7 )

1 1

1

Z

C 1

1

Y 1 X

c)

Y

1

B

1 1

D Σm ( 0, 2 , 6, 7 , 8 , 10, 13, 15 )

2-19. Using K-maps: a) Prime = XZ, WX, X Z, W Z

b) Prime = CD, AC, B D, ABD, B C

Essential = XZ, X Z

c) Prime = AB, AC, AD, BC, BD, CD

Essential = AC, B D, ABD

Essential = AC, BC, BD

2-22. Using K-maps: b) s.o.p.A C + B D + A D

a) s.o.p. CD + AC + B D p.o.s.(C + D)(A + D)(A + B + C)

c) s.o.p.B D + ABD + (ABC or ACD)

p.o.s.(C + D)(A + D)(A + B + C)

p.o.s.(A + B)(B + D)(B + C + D)

2-25. b)

a) B A

1

1

X

X

1

1

W

C Primes = AB, AC, BC, A B C Essential = AB, AC, BC F = AB + AC + BC

c)

Y 1

1 1

X

X

1 1

1

1

X A

X

Z Primes = X Z, XZ, WXY, WXY, W Y Z, WYZ Essential = X Z F = X Z + WXY + WXY

2-28. a) B A C D B A C D B A C D B A C D

4-input NAND from 2-input NANDs and NOTs

A B

A B

C D

C D

A B

A B

C D

C D

4

C X X X 1

1

1

1 X

1

X X

B

D Primes = AB, C, AD, BD Essential = C, AD F = C + AD + (BD or AB)

Problem Solutions – Chapter 2

b)

A B

A B A B

C

C D C D

D

2-30. a)

C 1 1 1 1

A 1

1

1

1

B

b)

A B C A C A D

W

D F = ( A + B + C )( A + C ) ( A + D )

W X W X Y Z Y Z A

Y 1

1

1

1

X

Z F = ( W + X )( W + X )( Y + Z ) ( Y + Z )

2-34. X ⊕ Y = XY + XY Dual (X ⊕ Y ) = Dual ( XY + XY ) = ( X + Y )( X + Y ) XY + XY = ( X + Y ) ( X + Y ) = ( X + Y )( X + Y )

2-37. 16 inputs 16 inputs 6 inputs

2-39. 4 × 0.5 = 2 ns

2-44. P-Logic X

Y NAND

NOR

X

Y NAND

L

L

H

H

0

0

L

H

H

L

0

H

L

H

L

H

H

L

L

N-Logic NOR

X

Y NAND

1

1

1

1

0

1

1

0

1

0

0

1

1

0

1

0

0

1

0

1

1

1

0

0

0

0

1

1

5

NOR 0

Problem Solutions to Problems Marked With a * in Logic Computer Design Fundamentals, Ed. 2

CHAPTER 3 © 2000 by Prentice-Hall, Inc.

3-2. D

T1 = T3 = X = = Y = =

T3 X

C B

Y

T4

T1

A

BC, T2 = AD 1 T4 = D + BC , T3T4 D + BC T2T4 AD(D + BC) = A BCD

T2

Y X

1 1

X 0 0 0 0 1 1 1 1

1 Z

XZ + ZY

X Z

Y 0 0 1 1 0 0 1 1

Z 0 1 0 1 0 1 0 1

T1 1 1 1 1 1 1 0 0

T2 1 1 1 1 0 0 1 1

T4 1 0 1 1 1 1 1 0

T5 1 0 1 1 1 0 1 0

F 0 1 0 0 0 1 0 1

T2

X F

Z Y

T3 1 1 0 0 1 1 1 1

T4

T1

F

Y Z

T5

T3

3-3. X 0 0 1 1

X T2 F

T1

T3

Y

Y 0 1 0 1

T1 1 0 0 0

T2 0 1 0 0

T3 0 0 1 0

F 1 0 0 1

3-6. A

M = AS + BS

S

M

B

T1 = ZY + ZY Y X

Z Y

A S B

M

A S B

M

F

F = YX + T1X

= YX + X(ZY + ZY)

= XY + XYZ + XYZ

T1

X Z

A S B

G = M

G

=

1

T1X + ZX

= XZ + X(Z + Y)(Z + Y)

XZ + X(YZ + Y Z) =

XZ + XYZ + X Y Z

Problem Solutions – Chapter 3

3-11. C

1

A

1

B

1 1 1

1

D F = AB + AC

3-13. AC

AB

AB

A D

a

ABD BCD ABC ACD ACDACD ABC ABC ABD ABCD

b

c

d

e

f

g

3-15. C 1 1 A

1 1

1

1 1

1

B

X X X X 1 1 X X

C 1 1

1

A

1

X X X X 1

C 1 1

B A

1 X X

1 1 1

1 1

X X X X 1

1

D

D

D

b = B + C D + CD

c=B+C+D

1

X X X X

A

X X

1

1

B

1 X X D

C 1 1

1

1

X X X X 1 1 X X

D e = B D + CD

1

B A

1

1

1

1 X X

1 X X X X

B

D

D

f = A + BD + BC + C D

g = A + CD + BC + BC

3-20. D3 0 X X X 1

D2 0 X X 1 0

D1 0 X 1 0 0

D0 0 1 0 0 0

A1 X 0 0 1 1

A0 X 0 1 0 1

V = D0 + D1 + D2 + D3

V 0 1 1 1 1

A0

A1

D2 X

1

1

1

D2 X

1 1

1

1

D3

A0 = D1 + D0 D2 A1 = D0 D1

2

1 D1

D1 D0

D0

B

d = ...


Similar Free PDFs