Modul VHDL PDF

Title Modul VHDL
Author Sarah Rusdian
Pages 64
File Size 15 MB
File Type PDF
Total Downloads 92
Total Views 174

Summary

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4 BAB I PENGERTIAN VHDL Tujuan Pembelajaran : 1. Mahasiswa mampu mendefinisikan pengertian VHDL 2. Mahasiswa mampu mendefinisikan desain flow dari VHDL 1.1 Pendahuluan Singkatan VHDL adalah VHSIC Hardware Description Language, dimana VHSIC adalah sin...


Description

Accelerat ing t he world's research.

Modul VHDL Sarah Rusdian

Related papers

Download a PDF Pack of t he best relat ed papers 

MODUL PRAKT IKUM S1 LABORAT ORIUM T EKNIK DIGITAL FAKULTAS T EKNIK ELEKT RO UNIVE… Ebel Gembel

BUKU AJAR SIST EM T ERTANAM Luckyt o Sept ian War Laporan Prakt ikum -Laborat orium Dasar Teknik Elekt ro -ST EI IT B MODUL 4 RANGKAIAN LOGIKA SEKUE… YAN PANJISAPUT RA

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

BAB I PENGERTIAN VHDL

Tujuan Pembelajaran : 1. Mahasiswa mampu mendefinisikan pengertian VHDL 2. Mahasiswa mampu mendefinisikan desain flow dari VHDL

1.1 Pendahuluan Singkatan VHDL adalah VHSIC Hardware Description Language, dimana VHSIC adalah singkatan dari Very High Speed Integrated Circuit. Jadi VHDL adalah bahasa pemrograman tingkat tinggi untuk mendeskripsikan rangkaian digital. VHDL merupakan bagian dari pemrograman FPGA (Field Programmable Gate Array) yaitu chip yang berisi matrik cell logika dengan interkoneksi program, dan dapat dikonfigurasi oleh penggunanya. VHDL pada awalnya dikembangkan oleh departemen pertahanan Amerika (DoD) pada tahun 1981. Pada tahun 1987 pengelolaanya diserahkan ke IEEE supaya bisa dipakai secara luas oleh pihak industri. IEEE yang melakukan standardisasi pada VHDL (1987,1992, 1999). VHDL amat sesuai untuk perancangan dengan piranti programmable logic. VHDL menyediakan konstruksi bahasa level tinggi yang memungkinkan perancang untuk menggambarkan sirkuit besar dan membawa produk ke pasar secara cepat.

Bahasa tersebut dimaksudkan untuk digunakan sebagai bahasa

modelling yang bisa diproses dengan software untuk tujuan-tujuan simulasi. VHDL terdiri dari suatu simbol sederhana dan notasi yang dapat menggantikan diagram skematik dan satu rangkaian digital dan bisa berupa progam simulasi yang digunakan untuk verifikasi desain atau untuk membentuk perangkat keras secara otomatis. Dengan perancangan VHDL yang terdiri dari sejumlah gate-gate (gerbang) ini bisa membuat ribuan gerbang yang mana apabila didesain dengan menggunakan skema atau persamaan boole akan memakan waktu yang lebih lama. Selain itu kelebihan dari VHDL adalah :

1. Efisien dan fleksibel

: VHDL adalah bahasa yang dapat digunakan untuk

menuliskan kode diskripsi yang lebih efisien untuk mengontrol logika sehingga 1

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

bisa lebih kompleks. VHDL juga menyediakan library design yang dapat digunakan sebagai desain maupun simulasi. 2. Desain alat sendiri : VHDL dapat mendesain alat tanpa harus memilih terlebih dahulu alat apa yang akan didesain tersebut dapat diimplementasikan, dan waktu yang ada bisa dikonsentrasikan pada desainnya. 3. Portabilitas : Karena VHDL merupakan standar dari setiap deskripsi desain sehingga dapat dipakai untuk berbagai macam simulai sintesa. 4. Kemampuan berchmarking : VHDL bisa mendesain alat dengan arsitektur alat maupun sintesa yag berbeda-beda dan tidak perlu memilih terlebih dahulu apakah menggunakan CPLD atau FPGA. Desain dan sintesa terlebih dahulu dilakukan baru memilih IC yang akan digunakan. Sehingga IC-IC yang ada bisa dibandingkan untuk memperoleh IC yang tepat untuk desain. 5. Perpindahan ke ASIC : Dengan tingkat efisiensi yang dihasilkan oleh VHDL, maka setiap produk yang dibuat bisa memiliki fungsi seperti yang diharapkan, sehingga IC yang dibuat menjadi spesifik. 6. Kecepatan proses dan biaya rendah : Dengan menggunakan VHDL maka kecepatan proses dapat ditingkatkan dan biaya dapat ditekan. Sebuah IC PLD dapat menggantikan banyak IC logika biasa VHDL adalah salah satu jenis bahasa HDL tingkat tinggi yang digunakan untuk: 1. Design Entry (synthesis) untuk FPD dan ASIC.Menjelaskan (menerangkan) struktur dan perilaku (behaviour) dari rancangan elektronika digital yang dibuat. Rancangan yang dibuat ini nantinya dapat diimplementasikan pada sistem digital baik berupa FPD (Field ProgrammableDevices) atau ASIC (Application Specific Integrated Circuit). 2. Melakukan simulasi (analisis) 3. Test (uji coba) fungsionalitas dari rancangan.

Contoh HDL yang lain: Verilog, Abel, IHDL (Intel HDL), dan UDL/I

2

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

1.2 Desain Flow Berikut ini adalah perbandingan antara desain dengan VHDL dan desain rangkaian digital secara konvensional. Langkah-langkah proses desain pada sistem digital secara konvensional : 1. Deskripsi sistem secara informal (blok I/O dengan penjelasannya). 2. Persamaal Boolean (termasuk setelah minimisasi misalnya dengan K-map). 3. Rangkaian Skematika (termasuk setelah minimisasi gate misalnya dengan DeMorgan). 4. Implementasi.

Pada proses desain konvensional ini: - Perancang harus memahami komponen dasar rangkaian (gate dan flip-flop). - Ada banyak permasalahan untuk sistem besar (persamaan yang banyak dan skematika rumit) - Susah melakukan modifikasi rancangan.

Pada Gambar 1.1 merupakan urutan-urutan dalam mendesain dengan VHDL. Dimulai dengan desain menuliskan kode VHDL yang mana disimpan dalam file dengan ekstension .vhd. Untuk langkah pertama pada proses sintesa adalah kompilasi, dimana kompilasi adalah pengkonversian level tinggi bahasa VHDL. Pada tingkat ini yang dilakukan adalah mendeskripsikan suatu rangkaian logika padaRTL (Register Transfer Level) ke dalam netlist gate level. Langkah yang kedua adalah optimasi, yaitu merubah gate level dengan kecepatan tinggi. Pada tingkatan ini hasil desain dapat disimulasikan. Dan proses terakhir adalah penempatan dan filter, software akan meng-generate ke dalam bentuk fisik chip PLD/FPGA atau meng-generate ke dalam bentuk mask untuk ASIC.

3

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

Gambar 1.1 Rangkuman VHDL Desain Flow

Catatan:

Mikroprosesor/mikrokontroler

programmable

device,

sedangkan

biasanya

PLD

atau

disebut FPGA

juga

software

disebut

hardware

programmable device

1.3 Translasi Kode VHDL ke dalam Rangkaian Sebagai contoh pada translasi kode VHDL adalah mendesain rangkaian Full-adder. Pada gambar 1.2 keterangannya adalah a dan b adalah sebagai input bit, cin adalah carry-in bit, s adalah hasil penjumlahan bit-bit, dan cout adalah carry-out bit. Seperti ditunjukkan dalam table kebenaran, s harus logika tinggi meskipun inputnya ganjil, dengan kondisi cout logika rendah. Nilai cout kondisi logika tinggi pada saat dua atau lebih inputnya logika tinggi.

Gambar 1.2 Diagram Full-adder dan Tabel kebenaran

4

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

Pada gambar 1.3 merupakan kode VHDL untuk rangkaian full-adder. Sedangkan pada gambar 1.4 merupakan kemungkinan beberapa rangkaian fulladder yang dapat dibuatkan kode VHDL nya. Dan pada gambar 1.5 adalah hasil simulasi dari kode VHDL yang telah di jalankan dengan software WARP 5.

Gambar 1.3 Contoh Kode VHDL untuk Full-adder

Gambar 1.4 Contoh-contoh Beberapa Kemungkinan Rangkaian Full-adder

Gambar 1.5 Hasil Simulasi dari Desain VHDL pada Gambar 1.3

5

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

Latihan soal : 1. Jelaskan apa yang dimaksudkan dengan VHDL! ……………………………………………………………………………. ……………………………………………………………………………. ……………………………………………………………………………. ……………………………………………………………………………. 2. Terangkan dan gambarkan desain flow pada VHDL! …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… ……………………………………………………………………………

3. Jelaskan perbedaan desain rangkaian digital secara konvensional bila di bandingkan dengan desain menggunakan VHDL! …………………………………………………………………………….. …………………………………………………………………………….. …………………………………………………………………………….. ……………………………………………………………………………..

6

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

BAB II STRUKTUR KODE VHDL

Tujuan Pembelajaran : 1. Mahasiswa mampu menerapkan kode-kode yang ada pada VHDL ke dalam software WARP 5 2. Mahasiswa mampu membuat program-program sederhana dengan beberapa kode VHDL

2.1 Kode-kode dasar pada VHDL VHDL kode terdiri dari tiga komponen dasar, yaitu : 1. LIBRARY, berisi daftar seluruh library yang digunakan pada saat desain. Contoh : ieee, std, work dan sebagainya. 2. ENTITY, berisi spesifikasi pin I/O pada rangkaian. 3. ARCHITECTURE,

berisi

kode-kode

utama

VHDL

yang

mendeskripsikan bagaimana perlakuan atau fungsi rangkaian tersebut.

2.2 Deklarasi LIBRARY Untuk mendeklarasikan LIBRARY, paling tidak terdiri dari dua baris, baris pertama merupakan nama LIBRARY dan baris kedua merupakan package nya yang akan dipakai untuk desain. Berikut ini adalah sintak penulisan LIBRARY yang dipakai pada program.

Paling tidak ada tiga package yang dipakai, dari tiga library yang berbeda, contoh di bawah ini merupakan package yang selalu dibutuhkan dalam mendesain : •

ieee.std_logic_1164 (dari library ieee)



standard (dari library std)



work (dari work library)

Dalam gambar 2.1 merupakan bagian-bagian dasar yang harus ada pada saat membuat program pada VHDL.

7

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

Gambar 2.1 Dasar Kode VHDL

Pada gambar 2.2 merupakan bagian-bagian yang masuk di dalam LIBRARY yang nantinya dipakai sebagai acuan dalam mendesain.

Gambar 2.2 Bagian Fundamental dari LIBRARY

Berikut ini adalah cara penulisan package pada library :

2.3 ENTITY Entity berisi semua daftar input dan output yang berupa port pada rangkaian yang akan di desain. Berikut ini adalah penulisan entity pada program :

8

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

Mode pada signal dapat dituliskan IN, OUT, INOUT atau BUFFER. Seperti yang di gambarkan pada gambar 2.3, IN dan OUT merupakan pin satu arah, jadi bila IN sebagai masukkan saja dan OUT sebagai keluaran saja. Tetapi bila memakai INOUT bisa sebagai masukkan dan keluaran jadi pin nya bisa dua arah. Sedangkan BUFFER dipakai bila sinyal keluaran digunakan di dalam rangkaian. Sebagai contoh, bila akan membuat program untuk gerbang NAND, adalah sebagai berikut :

Gambar 2.3 Blok Rangkaian Nand

Gambar 2.4 Gerbang Nand

Pengertian ENTITY di atas adalah : rangkaian tersebut mempunyai tiga pin I/O, dengan 2 input (a dan b sebagai mode IN) dan 1 output (x sebagai mode OUT). Ketiga sinyal tersebut mempunyai tipe BIT. Untuk nama entity dipilih “nand_gate”.

9

Buku Ajar Desain Sistem Digital Dengan VLSI SMT5/D4

2.4 ARCHITECTURE ARCHITECTURE mendeskripsikan bagaimana rangkaian tersebut bekerja atau perlakuan dari rangkaian tersebut. Untuk penulisan sintak pada program adalah sebagai berikut :

Pada program di atas ditunjukkan bahwa, architecture memiliki dua bagian, yaitu : bagian deklarasi, dimana signal dan constan akan di deklarasikan, bagian yang kedua adalah bagian kode (dari BEGIN terus sampai ke bawah END). Seperti halnya pada entity, nama pada architecture juga terserah pada pengguna, tetapi dengan syarat nama tersebut tidak boleh sama dengan entity. Berikut ini adalah contoh architecture pada program nand :

Penjelasan program di atas adalah rangkaian operasi nand memiliki dua signal input (a dan b) dan tanda (“...


Similar Free PDFs