Herramienta de diseño de FPGA Altera Quartus PDF

Title Herramienta de diseño de FPGA Altera Quartus
Author Candido Aramburu
Course Lògica Digital i Computadors
Institution Universitat Pompeu Fabra
Pages 3
File Size 29 KB
File Type PDF
Total Downloads 60
Total Views 130

Summary

Utilización de la herramienta de diseño Quartus II de Altera en modo línea de comandos...


Description

TUTORIAL INICIAL CON QUARTUS II V9.1 * Plataforma MS Windows 7 * Instalado Quartus II v9.1 de Altera (instalación mínima) * Icono MS -> Entrada comandos -> cmd (abre el terminal DOS) ** prompt del terminal -> candido@IEE-POR-6135 * cd C:\altera\91sp2\quartus\bin\cygwin (cambiar de carpeta) * dir (lista el contenido de la carperta actual) * (Ejecutar el script Cygwin.bat) -> Cygwin.bat ** prompt del shell de linux -> $ * cd /cyqdrive/c/upna_altera (carpeta del entorno de trabajo para mis proyectos de Altera) * ls (lista los ficheros y carpertas) * Proyecto puertas1 ** crear carpeta del proyecto -> mkdir puertas1 * Probar comandos de quartus ii ** quarTABULADOR :lista los comandos posibles de la herramienta EDA quartus ** quartus_sh :comando quartus_sh de la herramienta EDA quartus ** quartus_sh -v :version del shell linux de quartus ** quartus_sh -64bit :abre la interfaz gráfica de quartus II ** quartus_sh --help :lista todos los argumentos posibles del comando quartus_sh ** quartus_sim --help :lista todos los argumentos posibles del comando quartus_sim ** quartus-sh -g :abre la consola gráfica de comandos tcl ** quartus_sh -s :abre la consola texto deq comandos tcl * HELP ** quartus_sh -qhelp ** quartus_sh -help TUTORIAL 1 #!/ bin/bash PROJECT="puertas1" TOP_LEVEL_ENTITY="puertas1" DEVICE_FAMILY="Cyclone II" DEVICE_PART="EP2C35f672C6" PACKING_OPTION="minimize_area" quartus_map $PROJECT --source="$TOP_LEVEL_ENTITY" --family="$DEVICE_FAMILY" --generate_functional_sim_netlist quartus_fit $PROJECT --part="$DEVICE_PART" --pack_register="$PACKING_OPTION" quartus_asm $PROJECT quartus_sta $PROJECT quartus_sim $PROJECT --mode=functional --overwrite_waveform=on --vector_source=puertas1.vwf

PRIMER Info: Command: quartus_map --read_settings_files=on --write_settings_files=off puertas1 -c puertas1 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off puertas1 -c puertas1 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off puertas1 -c puertas1 Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off puertas1 -c puertas1 --timing_analysis_only Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off puertas1 -c puertas1

Info: Command: quartus_sim --read_settings_files=on --write_settings_files=off puertas1 -c puertas1

EXTENSION FILE Project Files Quartus II Project File (*.qpf) Quartus II Settings File (*.qsf) Quartus II Workspace File (*.qws) Quartus II Default Settings File (*.qdf) Design Files Altera Design File (*.adf) Block Design File (*.bdf)

HELP https://dewapurnama.files.wordpress.com/2012/08/modul-dewa89s-qts_qii52002.pdf -> v9.0 scripting https://dewapurnama.files.wordpress.com/2012/08/modul-dewa89sqts_qii52002.pdf#G1375682 https://www.altera.com/en_US/pdfs/literature/hb/qts/archives/quartusii_handbook_ 9.0.pdf -> v9.1 , 5 volumenes https://www.altera.com/content/dam/alterawww/global/en_US/pdfs/literature/hb/qts/archives/quartusii_handbook_9.1.1.pdf -> v9.1.1 https://www.altera.com/en_US/pdfs/literature/hb/nios2/n2sw_nii52014.pdf -> cli nios2 v13 https://www.altera.com/en_US/pdfs/literature/manual/intro_to_quartus2.pdf V17 MANUAL TCL https://www.altera.co.jp/ja_JP/pdfs/literature/an/an312.pdf -> recomendado https://www.altera.com/content/dam/alterawww/global/en_US/pdfs/literature/manual/tclscriptrefmnl.pdf COURSE TCL https://www.altera.com/customertraining/webex/Introduction_to_Tcl/launcher.html TCL SCRIPTS https://www.doulos.com/knowhow/fpga/Automating_Tool_Flows_with_Tcl/quartus.php ASIGNACIONES # Assign pins set_location_assignment set_location_assignment set_location_assignment set_location_assignment

-to -to -to -to

clk Pin_28 clkx2 Pin_29 d[0] Pin_139 d[1] Pin_140

MODELSIM ALTERA http://www.tkt.cs.tut.fi/tools/public/tutorials/mentor/modelsim/getting_started/ gsms.html

https://ti.tuwien.ac.at/ecs/teaching/courses/ddca15/dide_unterlagen/designflowtutorial **** Generating the ModelSim Testbench **** quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog comp1bit -c comp1bit --vector_source=D:/quatrus 2 projects/comp1bit/comp1bit.vwf --testbench_file=D:/quatrus 2 projects/comp1bit/simulation/modelsim/comp1bit.vwf.vt...


Similar Free PDFs