P15 Multiplexor de 4 datos de 4 bits p21 PDF

Title P15 Multiplexor de 4 datos de 4 bits p21
Course diseño del producto
Institution Benemérita Universidad Autónoma de Puebla
Pages 21
File Size 1.3 MB
File Type PDF
Total Downloads 58
Total Views 134

Summary

dfsdfdsf...


Description

1

CIRCUITOS COMBINACIONALES PRACTICAS DE LABORATORIO DISEÑO DIGITAL

5.- Multiplexor de 4 datos de 4 bits (SELECTOR DE DATOS) Tipo de práctica: SIMULADA ISE Diseñe simule y sintetice el multiplexor usando sus Funciones BOOLENAS y programación HDL PROCEDIMIENTO 7.1.- OBTENCION DE LAS FUNCIONES BOOLEANAS. A partir de la función boolena de un multiplexor de 4 a 1 , obtenga las funciones boolenas de un multiplexor de de 4 Datos de 4 BITS.

Se tiene 4 datos de 4 Bits A =A3 A2 A1 A0 B= B3 A2 A1 A0 C= C3 A2 A1 A0 D= D3 A2 A1 A0

2

Multiplexor de 4 datos Selección Salida S1 S0 0 1 2 3

SALIDA (4 bits) 1 A 2 B 10 C 11 D

3

FUNCIONES BOOLEANAS SAL 0= S0*S1*A0 + S0*S1*B0 + S0*S1*C0 + S0*S1*D0 SAL 1= ____________________________________________ SAL 2= ____________________________________________ SAL 3= ____________________________________________

Apartir de las funciones Booleanas SAL0, SAl, Sal 2, Sal 3 diseñe en VHDL un multiplexor de 4 datos de 4 bits tomando como referencia el siguiente código:

4 Defina las entradas como vectores o de TIPO BIT PROGRAMA EN HDL *********************************************** library ieee; use ieee.std_logic_1164.all; entity multiplexers_4 is port (A, B, C, D : in std_logic_vector (3 downto 0); S : in std_logic_vector (1 downto 0); Sal : out std_logic_vector (3 downto 0) ); end multiplexers_4; architecture mux_4 of multiplexers_4 is begin Sal(0)...


Similar Free PDFs