Previ P3 PDF

Title Previ P3
Author Carlos Cerro
Course Diseño Digital
Institution Universitat Politècnica de Catalunya
Pages 3
File Size 162.3 KB
File Type PDF
Total Downloads 74
Total Views 142

Summary

L'estudi previ per fer la tercera practica....


Description

Comptador: library ieee; use ieee.std_logic_1164.all; entity comptador is port( ce,rst,clk : in std_logic; numx : out std_logic_vector(6 down to 0)); end comptador; architecture arq of comptador is signal auxnumx : std_logic_vector(6 down to 0); begin auxnumx if coi='1' then state if ast='1' then state if neqx='1' and coi='1' then state...


Similar Free PDFs