Flujo DE Datos - Descripción tipo de programación VHDL PDF

Title Flujo DE Datos - Descripción tipo de programación VHDL
Author Juan Trujillo
Course Diseño Digital
Institution Universidad Francisco de Paula Santander
Pages 3
File Size 237.6 KB
File Type PDF
Total Downloads 632
Total Views 757

Summary

Laboratorio 6 de Diseño Digital, Diseño Digital, John J. RamírezINTRODUCCIÓNVHDL es un lenguaje de descripción de circuitos electrónicos digitales que utiliza distintos niveles de abstracción, VHDL no es un lenguaje de programación por ellos conocer su sintaxis no implica necesariamente saber diseñ...


Description

Laboratorio 6 de Diseño Digital, Diseño Digital, John J. Ramírez

LABORATORIO N° 6 INTRODUCCIÓN AL VHDL: ESTILO DE DESCRIPCIÓN FLUJO DE DATOS EN VHDL DUVAN VARELA QUINTERO 1161498 

INTRODUCCIÓN VHDL es un lenguaje de descripción de circuitos electrónicos digitales que utiliza distintos niveles de abstracción, VHDL no es un lenguaje de programación por ellos conocer su sintaxis no implica necesariamente saber diseñar con el. VHDL es un lenguaje de descripción de hardware que permite describir circuitos sincrónicos y asincrónicos. I.MARCO TEÓRICO VHDL VHDL es un lenguaje que se creó para el diseño, modelado y documentación de circuitos complejos. VHDL es un lenguaje con una sintaxis amplia y flexible que permite el modelado estructural, en flujo de datos y de comportamiento hardware. VHDL permite el modelado preciso, en distintos estilos, del comportamiento de un sistema digital conocido y el desarrollo de modelos de simulación. Uno de los objetivos del lenguaje VHDL es el modelado. Modelado es el desarrollo de un modelo para simulación de un circuito o sistema previamente implementado cuyo comportamiento, por tanto, se conoce. El objetivo del modelado es la simulación. Existen varias formas por la cual se puede diseñar un circuito en lenguaje VHDL, las cuales son: Estilo Flujo de Datos En este estilo se busca describir concurrencias del diagrama lógico, es decir, elementos que se encuentren en paralelo; los cuales serán 

representados por la descripción de la compuerta y dicho procedimiento se puede realizar mediante sentencias “While” o usando descripción Booleana. La descripción funcional es puramente comportamental, de manera que con una secuencia sencilla de instrucciones se podría describir el circuito. Naturalmente, a veces resulta más interesante describir el circuito de forma que esté más cercano a una posible realización física del mismo. En este sentido, VHDL posee una forma de describir circuitos que además permite la paralización de instrucciones1 , y que se encuentra más cercana a una descripción estructural del mismo, siendo todavía una descripción funcional. Las declaraciones concurrentes se diferencian de las series, entre otras cosas, en que no obedecen a la definición de un proceso. Por ello suelen utilizarse en las descripciones de flujo de datos y estructural2 . Una de las características que presenta este tipo de descripción es que no importa el orden en que se escriban las señales, ya que el resultado para tal función es el mismo transferencias de datos entre los puertos y las señales auxiliares intermedias. Para ello se usan sentencias de asignación de datos e instrucciones de control que permiten condicionar dichas asignaciones a un determinado evento, o seleccionar el dato a signar entre un conjunto de posibilidades. Por esto se le conoce como RTL (Lógica De Transferencia De Registros), o también como flujo de datos (Data Flow Description); porque especifican la transferencia de información. En este tipo de descripción se pueden utilizar tres formatos [1], [2]:  Declaraciones condicionales asignadas a una señal: when – else (cuando – sino).  Declaraciones concurrentes asignas a señales, o bien, por medio de ecuaciones booleanas.

2

 Selección de una señal: with – select – when (con – seleccionar – cuando).

.  

II. MONTAJE EXPERIMENTAL

Estilo flujo de datos

Por tabla de verdad

entity LAB_6 is Port ( A : in STD_LOGIC; B : in STD_LOGIC; C : in STD_LOGIC; D : in STD_LOGIC; F : out STD_LOGIC; G : out STD_LOGIC); end LAB_6;

ACTIVIDAD

architecture Arq_lab6 of LAB_6 is

1.

Encuentre las expresiones booleanas que describen el circuito. F= AC + BC’ + A’BC G= A’BCD’

2. Del diagrama lógico encuentre la tabla de verdad que describe el comportamiento del circuito. A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

F 0 0 0 0 1 1 1 1 0 0 1 1 1 1 1 1

G 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0

begin F...


Similar Free PDFs