Practica nro 8 - Contadores PDF

Title Practica nro 8 - Contadores
Author Yekkio 1001
Course sistemas digitales
Institution University of San Agustin
Pages 16
File Size 1.4 MB
File Type PDF
Total Downloads 35
Total Views 157

Summary

Diseño y Aplicaciones de Contadores Asíncronos y Síncrono...


Description

Tema: Diseño y Aplicaciones de Contadores Asíncronos y Síncrono

I.

OBJETIVOS a) b) c) d)

II.

Analizar, implementar, diseñar y aplicar en forma práctica circuitos contadores digitales. Implementar y analizar un contador binario de 4 bits con Flip-Flops JK. Implementar, analizar y usar contadores de 4 bits como divisores de frecuencia. Diseñar, implementar y probar un contador de décadas usando circuitos integrados contadores MS

PROCEDIMIENTO EXPERIMENTAL 1. CONTADOR BINARIO ASINCRONO DE CUATRO BITS BASADO EN FLIP-FLOP’s 1.1. Conecte el circuito de la Figura 1. Asegúrese de que los led’s estén conectados correctamente a los flip-flops de manera que indiquen una cuenta binaria ascendente identificando el bit más significativo (MSB) y el bit menos significativo (LSB). Recuerde que debe de alimentar a los CI con Vcc y GND. 1.1.1.El circuito de Reloj debe ser conectado a la entrada de reloj del primer flip-flop 1.1.2.Configure este reloj para que pueda preciarse considerablemente el cambio en el conteo. (Periodo grande)

1.2. Oprima S1 momentáneamente. ¿Qué hace esta acción?, indique como están las salidas. La acción que realiza el presionar S1, pone directamente en 0 y ello reinicia al Flip-Flop, es decir es la entrada clear. Esta es activa en nivel bajo al presionar S1, por lo tanto se hace un cortoocircuito y va directamente a tierra.

1.3. En la tabla 1a y 1b, registre los estados lógicos de las salidas Q0, Q1, Q2, Q3 para los pulsos de reloj en forma secuencial. 1.4. ¿Qué sucede en los pulsos de reloj 15 y 16?

Bit 4 Q3 0 0 0 0 0 0 0 0 1

Numero Binario Bit Bit 3 2 Q2 Q1 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0

Bit 1 Q0 0 1 0 1 0 1 0 1 0

Pulso de reloj

0 1 2 3 4 5 6 7 8

Numero Binario Bit 4 Bit 3 Bit 2 Bit 1 Q3 Q2 Q1 Q0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 0 0 0 1

Pulso de reloj 9 10 11 12 13 14 15 16 17

En el pulso de reloj 15 y 16 , sucede que acaba y se reinicia en conteo, esto debido a que el contador está conformado por 4 Flip-Flop y hace el conteo de 24 , esto es igual a 16 estados, que inician en 0 al 15 , entonces en el pulso de reloj 15 termina en 1111 binario y 15 natural, y que al llegar a 16 se reinicia con 0000 binario y natural 0 . En el pulso numero 15 todas las salidas están en alto (1 lógico). En el pulso numero 16 todas las salidas están en bajo (0 lógico).

2. CONTADOR BINARIO ASINCRONO DE CUATRO BITS UTILIZADO COMO DIVISOR DE FRECUENCIA 2.1. Ahora Configure el reloj con una frecuencia de 4 Khz, el cual será conectada a la entrada del FF1 del circuito previamente armando, Para este caso puede usar el generador de onda cuadrada del laboratorio o el circuito de reloj previamente configurado para generar la frecuencia solicitada. 2.2. Mida el periodo de los pulsos de reloj en la entrada del Flip-Flop, usando el osciloscopio. Anótelo

t reloj=

1 seg 4000

2.3. Ahora mida el periodo en la salida del primer FlipFlop (Q0) Anótelo.

t FF 1=

1 seg 2000

2.4. Ahora mida el periodo en la salida del último FlipFlop (Q3). Anótelo.

t FF 4 =

1 seg 250

2.5. Encuentre la frecuencia de dicho periodo

f FF 4 =0,250 Khz 2.6. Qué puede comentar de la comparación de frecuencia de salida versus la frecuencia de entrada, qué comentario añade con respecto a las frecuencias en las otras salidas (Q1, Q2). Al realizar esta simulación, se pudo observar que el periodo va aumentando de esta manera, primeramente, se inició con un periodo T, luego con 2T, 4T, 8T y 16T, notando que este va aumentando cada vez más, esto debido a que funciona en el flanco de bajada. Entonces el periodo va en aumento a razón de base de 2.

2.7. Dibuje las formas de onda en cada una de las salidas del contador y el diagrama de estados. Identifique el bit LSB y el bit MSB

0000 1111

0001 0010

1101

1101

0011

0100

1100

1011

0101

1010

0110 1001

0111 1000

3. FUNCIONAMIENTO DEL CONTADOR BINARIO ASINCRONO 7493 3.1. En la figura 2 se encuentra la distribución de pines y el circuito interno del contador binario asíncrono 7493. Analice el funcionamiento de este circuito, según el esquema y la tabla adjunta de la figura 2.

Primeramente, analizando este integrado, al colocar unas señal de reloj con entrada A y entrada B, es funcionamiento de este circuito no correspondería al de la tabla de verdad, ya que no tiene programado este conteo, en otras palabras, en naturales el conteo que se daría es:

0, 3, 4, 7, 8, 11, 12, 15 y reiniciará otra vez, dependiendo también de la frecuencia de cada reloj establecida, en este caso las dos señales mencionadas trabajan con 1Hz.

3.2. De la figura 2(b). Qué pasa si se conecta la salida QA a la entrada de Reloj B, ¿corresponde a la Tabla de verdad en (c)? Justifique su respuesta.

En este circuito, si le corresponde la tabla de verdad C de la figura 2, porque al conectar la salida de QA a la entrad de reloj B, este será un contador asíncrono, como las salidas FlipFlop van conectadas a las entradas del que sigue y por ende solo tendremos una señal de reloj funcionando. Por lo tanto, como se observó en el caso 1, este vendría a ser el funcionamiento por dentro en este circuito.

3.3. Que hacen las entradas R0(1) y R0(2). Las entradas de R0(1) y R0(2) son las entradas de reinicio, estos pines son las entradas a una compuerta NAND interna en el circuito integrado, donde la salida se encuentra enlazada a las entradas de RESET de los 4 Flip-Flop internos. Pero para que se reinicie el conteo, estos pines deben estar en un nivel alto, ya que, si no es el caso, donde si uno o ambos pines esta en nivel bajo el conteo continuará.

3.4. Diseñe mediante el análisis de la pregunta 3.2 y 3.3 conteos de MOD-8, MOD-16, MOD-5 y MOD-10 con este circuito integrado. Muestre los conteos; conectando leds a las salidas y también un decodificador BCD a 7 Segmentos con su respectivo display. Use una entrada de reloj según sea el diseño (Puede usar el generador de onda cuadrada del laboratorio o su circuito reloj con el 555 con frecuencia de 1 Hz. Anexar las tablas de verdad

MOD-8

MOD-16

MOD-5

MOD-10

3.5. Dibujar los diagramas de tiempos y de estados para cada uno de los circuitos analizados en el procedimiento del punto anterior.

MOD-8 0000 1000

0001

0111

0010

0110

0011 0101

0100

MOD-16 0000 1111

0001

1110

0010

1101

0011

1100

0100

1011

0101

1010

0110 1001

0111 1000

MOD-5 0000 0101

0001

0100

0010 0011

MOD-10

0000 1010

0001

1001

0010

1000

0011

0111

0100 0110

0101

4. DISEÑO DE UN CONTADOR BINARIO SINCRONO CON FLIP-FLOP’s 4.1. Diseñe un contador síncrono MOD-8 (conteo de 000 a 111), teniendo como referencia los dispositivos digitales de la figura siguiente:

4.2. Desarrolle el procedimiento de teoría (Diagrama de estados, Tabla de transiciones, simplificación y diagrama circuital).

DIAGRAMA DE ESTADOS

0 8

1

7

2 6

3 5

4

Tabla del estado presente y el estado siguiente Estado Presente Q2 Q1 Q0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

Estado Siguiente Q2 Q1 Q0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0

J2 0 0 0 1 x x x x

K2 x x x x 0 0 0 1

J1 0 1 x x 0 1 x x

K1 x x 0 1 x x 0 1

J 2=Q 1.Q 0 ¿ Q2 Q0 0 1

00

01

11

10

0 X

0 X

1 X

0 X

4.3. Indique cual es el bit más significativo y el bit menos significativo Q2: bit más significativo Q0: bit menos significativo 4.4. Realice el conexionado del circuito

J0 1 x 1 x 1 x 1 x

K0 x 1 x 1 x 1 x 1

4.5. Realice la simulación del circuito

5. FUNCIONAMIENTO DEL CONTADOR BINARIO SINCRONO 74193 5.1. Identifique mediante la hoja de datos del 74LS193, cuáles son las entradas y cuáles son las salidas, a continuación, a las entradas coloque interruptores como en laboratorios pasados para el análisis experimental, a las salidas coloque LED’s para visualizar el comportamiento y su trabajo. 5.2. Encienda el módulo con los switch como muestra la figura 3. 5.3. Coloque un dato de inicio diferente de cero con los switches de datos D0D1D2D3 (por ejemplo: 0011). A este proceso se le denomina programación o “preseteo” o carga en paralelo. Observe si las salidas variaron. 5.4. Ahora coloque en las salidas el dato que usted programó enviando un pulso de flanco de bajada sobre la entrada LOAD utilizando el Switch ‘A. ¿Observe las salidas, ¿qué sucedió?

Al enviar un pulso de flanco de bajada a la entrada LOAD se notó como si el circuito recién empezara a funcionar y los bits en las entradas recién hayan sido procesados. Esto se debe a que la entrada LOAD esta negada, y al mandarle un pulso 0 este se cambiará permitiendo que el circuito entre en funcionamiento.

5.5. Ahora active el CLEAR (MR) enviando un pulso de flanco de subida sobre la entrada Switch MR. ¿Qué observa en las salidas? Se puede observar que el estado de los bits en la salida es igual al estado inicial (TCD = TCU = 1). MR es una entrada de reinicio asíncrono, lo que significa que la salida seguirá siendo la misma a pesar de la combinación de entrada que tenga.

5.6. Ahora use la entrada de cuenta hacia arriba (COUNT UP) enviando sucesivos pulsos de bajada mediante Switch ‘B. Observe qué sucede con las salidas en los leds L0 a L3 (salidas binarias) y los leds L5 - L6 (desbordes de conteo). Descríbalo. Si la entrada CLEAR es igual a 1, entonces el circuito actúa como un contador ascendente, haciendo que las salidas binarias realicen la cuenta de 0 a 16 con cada flanco de subida.

5.7. Ahora coloque +5V en la entrada COUNT UP y el Switch ‘B en la entrada COUNT DOWN, es decir un intercambio. Envíe pulsos de bajada por COUNT DOWN y observe las salidas. (L0 a L6). Descríbalo: El circuito actúa como un contador descendente, haciendo que las salidas binarias realicen la cuenta de 16 a 0 con cada flanco de subida.

5.8. Programe datos de inicio (D0D1D2D3), cárguelos utilizando la entrada LOAD utilizando el Switch ‘A. Active el COUNT DOWN enviando pulsos y observe las salidas: Se puede apreciar que al cargar los datos de inicio con la entrada LOAD, estos quedan grabados, haciendo que los leds enciendan según la secuencia dada. Al presionar COUNT DOWN se observó que la cuenta iba en forma descendente pero desde los datos cargados inicialmente.

5.9. Aplique las salidas (Q3, Q2, Q1 y Q0) a las entradas de un CI decodificador de 7 segmentos y la salida de este a un display de siete segmentos. La salida Carry aplíquela a un Led.

5.10. Aplique como circuito de reloj la señal del 555 a una frecuencia de 1Hz. Aplique dicha señal a la entrada COUNT UP del contador.

5.11. Compruebe y explique el funcionamiento del circuito a partir de un oscilograma y/o un diagrama de estados. El 7490 es un contador asíncrono de décadas con salida BCD en binario, este contador cuenta los flancos de bajada de los pulsos del reloj generado por 555, se mueven las 4 salidas del contador para contar en binario de 0 en BCD (0000) hasta 9 en BCD (1001). Para el funcionamiento de contador debemos conectar los pines 2, 3, 6 y 7 a tierra, pero si deseamos resetear el contador (poner el contador a cero) podemos conectar los pines 2 y 3 a un nivel alto (1 lógico)

5.12.

Cambie a un contador descendente. (verifique la salida Borrow)

5.13. Compruebe y explique el funcionamiento del circuito a partir de un oscilograma y/o un diagrama de estados. Este contador puede describirse como un contador ascendente / descendente. El contador responderá a las TPP (tiempo por pulso) en una de las dos entradas de reloj. CP u es la entrada de reloj de conteo ascendente. Cuando se apliquen los pulsos a esta entrada, el contador se incrementará (contará hacia arriba) en cada TPP hasta llegar a un conteo máximo de 1111 j entonces se recicla a 0000 y vuelve a comenzar. CPD es la entrada de reloj de conteo descendente. Cuando se apliquen los pulsos a esta entrada, el contador (contará hacia abajo) en cada TPP hasta llegar a un conteo mínimo de 0000; entonces se recicla a 1111 y vuelve a comenzar. De este modo, se usará una entrada de reloj para contar en tanto que la otra esté inactiva (se conserve en ALTO). III.

CUESTIONARIO FINAL 1) ¿Cómo se amplía el módulo de un contador? ¿Cómo se lo reduce? En este caso el módulo de un contador está determinado por la cuenta máxima a la que es diseñado, en otras palabras, si el contador es diseñado para que cuente de 0 a 15 su módulo es el 16 (contador módulo 16) y simplificado se denomina contador MOD-16, si es diseñado para contar de 0 a 9 será un contador módulo 10 o MOD-10. El número MOD lo podemos aumentar, simplemente aumentando el número de flip-flop al contador. Es decir, el número MOD es igual a 2N donde N es el número de flip-flop conectados en la configuración anterior.

El número MOD si se quiere reducir, simplemente disminuimos el número de flip-flop al contador.

2) Explique un circuito integrado contador que ofrezca mejores prestaciones que un CI74193. Dependiendo a que la velocidad es muy importante y en cualquier momento se puede requerir esta capacidad, centrándonos en el 74ls192, ya que se trata del mismo dispositivo, lo que cambia es el consumo, la tensión de trabajo y poco más, constituye un contador asíncrono reversible con entrada paralela, preparado para efectuar el conteo decimal en código binario BCD.

3) Explique cómo funciona la entrada Load. Estas son importantes, ya que en ciertas aplicaciones es necesario cargar el contador con un valor inicial, siguiendo estas con la línea de load, y claro, el contador debe disponer de algunas líneas de entrada adicionales, donde se introducirán datos a cargar, si fuera el caso en el que se realice con esta operación. Existen tantas líneas de datos como bits tenga el contador, donde en cada una de las líneas contienen un bit de dato que será cargado en un biestable de contador, en este caso la línea de load puede ser activada en alto o en bajo, y tiene estas dos maneras de funcionamiento asíncrono y síncrono. Por ejemplo: Se puede observar en la figura el funcionamiento de un contador, con la operación Load asíncrono, y que al activarse el Load produce la carga del dato simbolizado como el N.

4) Explique dos aplicaciones industriales que empleen los integrados utilizados y/o los contadores

7490 Este contador es ideal para aplicaciones como relojes digitales, cronómetros y medidores de frecuencia.Básicamente es un contador binario natural, cuenta de 0 a 15 pero tiene un FlipFlip separado de los otros tres. Por lo tanto, tiene 2 entradas Clock. Tiene 4 entradas para restablecerlo. Con 2 de ellas se restablece a 9 con las otras 2 se restablece a 0. Las salidas QA, QB, QC, QD son ciertas cuando son altas, por no tener el pequeño círculo en su salida, ya mencionado. 74193

Usada en el campo de la automatización para el conteo de objetos o productos en una determinada empresa. Es decir que la señal de reloj estaría dada por un sensor, que enviara pulsos de señal cuando un objeto pase y almacenara los dados del número de objetos que pasaron en total. 5) ¿Cuáles son los límites de frecuencia con los que pueden trabajar los contadores o divisores de frecuencia? La frecuencia de transmisión empleada por los nuevos contadores va de 42 KHz a 89 KHz con un ancho de banda de 47 KHz dividido en 97 sub portadoras y puede utilizar varios tipos de modulación de fase desde binaria a Hexadecimal lo que comprende BPSK, QPSK, 8PSK y 16QAM. IV.

OBSERVACIONES Y CONCLUSIONES Haga sus observaciones y emita al menos cinco conclusiones en torno al trabajo realizado

    



Se puede ver que los circuitos LATCHs se utilizan para almacenar bits considerando los niveles altos de las entradas según a lo que se desea determinar. No solo podemos hacer que cuente descendente o ascendente, sino que nosotros podemos inicializarlo para que comience en determinado número. Los Flip-Flops se utilizan para almacenar bits utilizando los flancos de un reloj sincrónico. Se puede cambiar el MOD de un contador usando como puerta digital una nand que se conecta a los clr. Tenemos una gran herramienta en los divisores de frecuencias, ya no es necesario estar calculando los Hz sino que conectando de una manera Flip-Flops nosotros dividiremos en la mitad nuestra señal de reloj. Por otra parte, se hizo practica a circuitos contadores digitales, tanto el desarrollo del contador binario y decimal con el display de 7 segmentos ha permitido visualizar una aplicación importante de los circuitos dados....


Similar Free PDFs